nanoimprinting

纳米压印
  • 文章类型: Journal Article
    纳米压印大面积结构,尤其是像元透镜这样的高密度特征,在实现无缺陷纳米图案方面提出了挑战。用于纳米压印的常规高分辨率模具通常很昂贵,通常由无机材料如硅构成,镍(Ni),或者石英.不幸的是,由于在聚合物-模具界面处的高粘附性和摩擦,在脱模期间,复制的纳米结构经常遭受破裂或缺乏清晰度。此外,在有限数量的压印循环后,模具降解,归因于污染和损坏的功能,是一个共同的问题。在这项研究中,通过成功开发抗粘纳米复合材料模具,提出了一种破坏性的方法来解决这些挑战。这种纳米复合材料模具是通过电铸共沉积镍原子和低表面张力聚四氟乙烯(PTFE)纳米颗粒而产生的。PTFE的加入增强了聚合物从模具中释放的容易性。所得Ni-PTFE纳米复合材料模具表现出优异的润滑性能和显著降低的表面能。这种强大的纳米复合材料模具被证明有效地压印精细,使用热纳米压印至少20个循环,致密堆积的纳米结构低至100nm。此外,用这种纳米复合材料模具成功地进行了UV纳米压印光刻(UV-NIL)。这项工作为可重复使用的高分辨率模具引入了一种新颖且具有成本效益的方法,确保纳米压印中的缺陷减少生产。
    Nanoimprinting large-area structures, especially high-density features like meta lenses, poses challenges in achieving defect-free nanopatterns. Conventional high-resolution molds for nanoimprinting are often expensive, typically constructed from inorganic materials such as silicon, nickel (Ni), or quartz. Unfortunately, replicated nanostructures frequently suffer from breakage or a lack of definition during demolding due to the high adhesion and friction at the polymer-mold interface. Moreover, mold degradation after a limited number of imprinting cycles, attributed to contamination and damaged features, is a common issue. In this study, a disruptive approach is presented to address these challenges by successfully developing an anti-sticking nanocomposite mold. This nanocomposite mold is created through the co-deposition of nickel atoms and low surface tension polytetrafluoroethylene (PTFE) nanoparticles via electroforming. The incorporation of PTFE enhances the ease of polymer release from the mold. The resulting Ni-PTFE nanocomposite mold exhibits exceptional lubrication properties and a significantly reduced surface energy. This robust nanocomposite mold proves effective in imprinting fine, densely packed nanostructures down to 100 nm using thermal nanoimprinting for at least 20 cycles. Additionally, UV nanoimprint lithography (UV-NIL) is successfully performed with this nanocomposite mold. This work introduces a novel and cost-effective approach to reusable high-resolution molds, ensuring defect-reduction production in nanoimprinting.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    偏振光检测可以有效地识别目标和背景上的偏振信息之间的差异,这对于在复杂的自然环境和/或极端天气中进行检测具有重要意义。一般来说,偏振光检测不可避免地依赖于光电探测器的各向异性结构,而有机-无机杂化钙钛矿由于其通过溶液法简单有效地制备而非常适合各向异性图案化。与图案化薄膜相比,排列的一维(1D)钙钛矿纳米线(PNWAs)的图案化阵列具有更少的晶界和更低的缺陷密度,使它们非常适合高性能偏振敏感光电探测器。这里,我们使用CD-ROM和DVD-ROM光栅图案模板限制生长(TCG)方法制造了具有可变线宽和对准密度的PNWAs。由MAPbI3PNWAs构建的光电探测器实现了35.01A/W的响应度,探测率6.85×1013琼斯,和快速响应,上升时间为172μs,下降时间为114μs。它们已成功应用于偏振比为1.81的高性能偏振检测,可能适用于偏振光检测系统。
    Polarized light detection can effectively identify the difference between the polarization information on the target and the background, which is of great significance for detection in complex natural environments and/or extreme weather. Generally, polarized light detection inevitably relies on anisotropic structures of photodetector devices, while organic-inorganic hybrid perovskites are ideal for anisotropic patterning due to their simple and efficient preparation by solution method. Compared to patterned thin films, patterned arrays of aligned one-dimensional (1D) perovskite nanowires (PNWAs) have fewer grain boundaries and lower defect densities, making them well suited for high-performance polarization-sensitive photodetectors. Here, we fabricated PNWAs crystallographically aligned with variable line widths and alignment densities employing CD-ROM and DVD-ROM grating pattern template-confined growth (TCG) methods. The photodetectors constructed from MAPbI3 PNWAs achieved responsivity of 35.01 A/W, detectivity of 6.85 × 1013 Jones, and fast response with a rise time of 172 μs and fall time of 114 μs. They were successfully applied to high-performance polarization detection with a polarization ratio of 1.81, potentially applicable in polarized light detection systems.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    表面等离子体共振是一种广泛用于生物传感的效应。基于这种效应的生物传感器以不同的配置运行,包括使用衍射光栅作为耦合器。光栅是高度可调的,并且由于其平面配置而易于集成到流体系统中。我们讨论了基于光开关的特定传感器配置中使用的等离子体光栅耦合器的优化。这些光栅呈现具有高深度/周期比的正弦轮廓。它们与p偏振光束的相互作用导致两个重要的衍射级(第0和-1),这使得差分测量能够消除由于常见波动引起的噪声。光栅是通过将激光干涉光刻与纳米压印在与低成本批量生产的挑战相一致的过程中进行组合来制造的。不同的光栅参数如周期的影响,深度和剖面进行了理论和实验研究。
    Surface plasmon resonance is an effect widely used for biosensing. Biosensors based on this effect operate in different configurations, including the use of diffraction gratings as couplers. Gratings are highly tunable and are easy to integrate into a fluidic system due to their planar configuration. We discuss the optimization of plasmonic grating couplers for use in a specific sensor configuration based on the optical switch. These gratings present a sinusoidal profile with a high depth/period ratio. Their interaction with a p-polarized light beam results in two significant diffracted orders (the 0th and the -1st), which enable differential measurements cancelling noise due to common fluctuations. The gratings are fabricated by combining laser interference lithography with nanoimprinting in a process that is aligned with the challenges of low-cost mass production. The effects of different grating parameters such as the period, depth and profile are theoretically and experimentally investigated.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

       PDF(Pubmed)

  • 文章类型: Journal Article
    尽管在开发适用于各种高性能器件的2D钙钛矿方面取得了显著进展,具有各向异性光电特性的2D钙钛矿的亚30nm纳米图案的发展仍然具有挑战性。在这里,提出了一种简单但稳健的方法,用于在大面积上制造2D钙钛矿的亚30nm1D纳米图案。该方法基于用由引导的自组装单层面内圆柱体组成的嵌段共聚物纳米图案复制的形貌预图案化硬聚(二甲基硅氧烷)模具对2D钙钛矿的前体薄膜进行纳米压印。各种2D钙钛矿的1D纳米图案(A\'2MAn-1PbnX3n+1,A\'=BA,PEA,X=Br,I)已开发;它们增强的光致发光(PL)量子产率大约是相应的对照平膜的四倍。由于2D钙钛矿纳米晶体嵌入拓扑1D纳米图案中,因此观察到各向异性光电流。此外,这种二维钙钛矿的一维金属涂层纳米图案被用作颜色转换光学偏振器,其中极化PL被开发出来。这是由于其亚30nm线图案产生的入射光的偏振能力,以及图案中受限的2D钙钛矿纳米晶体的PL。
    Despite the remarkable advances made in the development of 2D perovskites suitable for various high-performance devices, the development of sub-30 nm nanopatterns of 2D perovskites with anisotropic photoelectronic properties remains challenging. Herein, a simple but robust route for fabricating sub-30 nm 1D nanopatterns of 2D perovskites over a large area is presented. This method is based on nanoimprinting a thin precursor film of a 2D perovskite with a topographically pre-patterned hard poly(dimethylsiloxane) mold replicated from a block copolymer nanopattern consisting of guided self-assembled monolayered in-plane cylinders. 1D nanopatterns of various 2D perovskites (A\'2 MAn -1 Pbn X3 n +1 ,A\' = BA, PEA, X = Br, I) are developed; their enhanced photoluminescence (PL) quantum yields are approximately four times greater than those of the corresponding control flat films. Anisotropic photocurrent is observed because 2D perovskite nanocrystals are embedded in a topological 1D nanopattern. Furthermore, this 1D metal-coated nanopattern of a 2D perovskite is employed as a color conversion optical polarizer, in which polarized PL is developed. This is due to its capability of polarization of an incident light arising from the sub-30 nm line pattern, as well as the PL of the confined 2D perovskite nanocrystals in the pattern.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    由于其可调带隙和高光致发光(PL)量子产率,多功能杂化钙钛矿纳米晶体(NC)是最有前途的光电材料之一。然而,它们固有的结晶化学结构限制了材料可实现的手性。手性钙钛矿的生产因其在光学领域的应用前景广阔,已成为研究的活跃领域,化学,或生物学。通常,手性卤化物钙钛矿通过在材料中掺入不同的手性部分而获得。不幸的是,到目前为止,这些化学修饰的钙钛矿已经证明了手性PL的中等值。这里,引入了一种通用且可扩展的方法,以从组装到2D手性超表面中的任意纳米发射体产生手性PL。通过纳米压印光刻法的制造采用雕刻有覆盖毫米区域的手性图案的弹性体模具,用于图案化两种类型的未改性胶体钙钛矿NC油墨:绿色发射CsPbBr3和红色发射CsPbBr1I2。钙钛矿2D超表面表现出显着的PL不对称因子(glum)为0.16,可以通过在超表面上添加高折射率涂层来进一步提高至0.3的glum。这种用于生产手性光致发光薄膜的可扩展方法为用于即将到来的光电应用的明亮手性光源的无缝生产铺平了道路。
    The versatile hybrid perovskite nanocrystals (NCs) are one of the most promising materials for optoelectronics by virtue of their tunable bandgaps and high photoluminescence (PL) quantum yields. However, their inherent crystalline chemical structure limits the chiroptical properties achievable with the material. The production of chiral perovskites has become an active field of research for its promising applications in optics, chemistry, or biology. Typically, chiral halide perovskites are obtained by the incorporation of different chiral moieties in the material. Unfortunately, these chemically modified perovskites have demonstrated moderate values of chiral PL so far. Here, a general and scalable approach is introduced to produce chiral PL from arbitrary nanoemitters assembled into 2D-chiral metasurfaces. The fabrication via nanoimprinting lithography employs elastomeric molds engraved with chiral motifs covering millimeter areas that are used to pattern two types of unmodified colloidal perovskite NC inks: green-emissive CsPbBr3 and red-emissive CsPbBr1 I2 . The perovskite 2D-metasurfaces exhibit remarkable PL dissymmetry factors (glum ) of 0.16 that can be further improved up to glum of 0.3 by adding a high-refractive-index coating on the metasurfaces. This scalable approach to produce chiral photoluminescent thin films paves the way for the seamless production of bright chiral light sources for upcoming optoelectronic applications.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    纳米透镜在纳米技术中越来越重要,但是它们具有挑战性的制造阻碍了它们的广泛采用。特别的挑战是透镜曲率的容易控制。在这项工作中,我们展示了一种新的纳米压印技术,能够实现聚合物纳米透镜,其中纳米透镜的曲率在预固化步骤中由紫外线(UV)剂量光学控制。我们的结果表明,纳米透镜的高度随紫外线剂量线性变化。计算模型进一步揭示了聚合物在UV控制的纳米压印过程中经历高度非线性动力学。该技术和工艺模型都将极大地促进纳米级科学和制造技术。
    Nanolenses are gaining importance in nanotechnology, but their challenging fabrication is thwarting their wider adoption. Of particular challenge is facile control of the lens\' curvature. In this work, we demonstrate a new nanoimprinting technique capable of realizing polymeric nanolenses in which the nanolens\' curvature is optically controlled by the ultraviolet (UV) dose at the pre-curing step. Our results reveal a regime in which the nanolens\' height changes linearly with the UV dose. Computational modeling further uncovers that the polymer undergoes highly nonlinear dynamics during the UV-controlled nanoimprinting process. Both the technique and the process model will greatly advance nanoscale science and manufacturing technology.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    具有多种表面特性的触发响应表面具有广泛的应用潜力,从具有触发响应流体流动的表面到细胞培养再到光学效应;可以通过表面形态变化来实现此类表面。尽管多种形状记忆效应在本体聚合物中是成功的,由于制造具有良好可控性的多个表面形貌的挑战,多个表面记忆的编程和恢复有限。这里,我们报告了聚合物共混物配方和热纳米压印过程之间的协同作用,以实现多个微形貌记忆。一系列由聚(己内酯)(PCL)和聚乙烯(PE)组成的不混溶共混物具有由不同结晶事件控制的不同热转变,通过聚合物共混物中的优先交联而提高了弹性。已经发现,通过过氧化二异丙苯的优先交联对PCL/PE的弹性性能的影响非线性地取决于共混物组成。这种方法实现了纳米压印PCL/PE共混物中的三重和四重表面图案固定和恢复。具体来说,我们证明了当在60°C下暴露于热刺激180s时,从分层微光栅形貌(宽度:2μm和高度:2μm)恢复微柱结构(直径:20μm和高度:10μm)。我们还证明了变形的微光栅的恢复,然后是微柱结构的二次恢复。
    Trigger-responsive surfaces with multiple surface properties have wide-ranging application potential from surfaces with trigger-responsive fluid flow to cell culture to optical effects; such surfaces can be achieved through surface morphological changes. Although multiple shape-memory effects are successful in bulk polymers, there is limited programing and recovery of multiple surface memories due to the challenges in fabricating multiple surface topographies with good controllability. Here, we report the synergy between the polymer blend formulation and the thermal nanoimprinting process to achieve multiple microtopography memories. A series of immiscible blends consisting of poly(caprolactone) (PCL) and polyethylene (PE) with distinct thermal transitions governed by distinct crystallization events were augmented with improved elasticity through preferential cross-linking in the polymer blend. The effect of preferential cross-linking by dicumyl peroxide on the elastic property of the PCL/PE has been found to be nonlinearly dependent on the blend composition. This approach enabled triple and quadruple surface pattern fixity and recovery in nanoimprinted PCL/PE blends. Specifically, we demonstrated the recovery of a micropillar structure (diameter: 20 μm and height: 10 μm) from a hierarchical micrograting topography (width: 2 μm and height: 2 μm) when exposed to a thermal stimulus at 60 °C for 180 s. Furthermore, we also demonstrated the recovery of a deformed micrograting followed by a secondary recovery of the micropillar structure.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    我们提出了三维入口的制造,其宽度和深度逐渐减小,并且在斜坡上具有纳米柱,所有定义在一个光刻步骤。此外,作为一个应用程序,我们展示了这些微米和纳米结构如何用于微米和纳米流体和实验室芯片设备,以促进流动和分析DNA的单分子。对于在单层过程中制造3D入口,使用剂量调制电子束光刻,沿着30μm长的入口产生750nm至50nm的深度,它还具有随机分布在顶部的纳米级支柱,由于抗蚀剂的不完全曝光和显影不足。制造条件影响入口的斜率,纳米柱密度和覆盖率。关键参数是用于电子束曝光的剂量和显影条件,像开发商的稀释,搅拌和发展时间。具有纳米结构柱的3D入口被集成到流体装置中,作为微流体和纳米流体结构之间的过渡,用于预拉伸和展开DNA分子,避免折叠分子的侵入和堵塞分析通道。在硅中图案化这些结构后,它们可以通过UV纳米压印在聚合物中复制。我们在这里展示了带有支柱的入口如何在分子进入纳米通道之前减慢分子的速度,导致速度降低了3倍,这将转化为DNA光学作图分辨率的提高。
    We present the fabrication of three-dimensional inlets with gradually decreasing widths and depths and with nanopillars on the slope, all defined in just one lithography step. In addition, as an application, we show how these micro- and nanostructures can be used for micro- and nanofluidics and lab-on-a-chip devices to facilitate the flow and analyze single molecules of DNA. For the fabrication of 3D inlets in a single layer process, dose-modulated electron beam lithography was used, producing depths between 750 nm and 50 nm along a 30 μm long inlet, which is additionally structured with nanometer-scale pillars randomly distributed on top, as a result of incomplete exposure and underdevelopment of the resist. The fabrication conditions affect the slope of the inlet, the nanopillar density and coverage. The key parameters are the dose used for the electron beam exposure and the development conditions, like the developer\'s dilution, stirring and development time. The 3D inlets with nanostructured pillars were integrated into fluidic devices, acting as a transition between micro and nanofluidic structures for pre-stretching and unfolding DNA molecules, avoiding the intrusion of folded molecules and clogging the analysis channel. After patterning these structures in silicon, they can be replicated in polymer by UV nanoimprinting. We show here how the inlets with pillars slow down the molecules before they enter the nanochannels, resulting in a 3-fold decrease in speed, which would translate to an improvement in the resolution for DNA optical mapping.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    具有柔性印模的卷到板纳米压印是一种制造方法,用于图案化具有微米和纳米纹理的大面积基板。印记由残留层顶部的首选纹理组成,其厚度和均匀性对于许多应用是至关重要的。在这项工作中,建立了一个数值模型来预测残余层厚度(RLT)与压印参数的关系。该模型基于弹性流体动力润滑(EHL)理论,结合了树脂膜中压力积聚的润滑理论,用线弹性理论研究辊材料的弹性变形。该模型用不可扩展圆柱壳理论扩展,以捕获柔性冲压的效果,被视为张紧腹板。结果表明,纸幅张力的增加增加了辊的有效刚度,导致RLT的减少。数值结果通过平层印迹的层高测量得到验证。表明,对于宽范围的树脂粘度,模拟的最小层高度与实验结果非常吻合。压印速度,和压印负载。
    Roll-to-plate nanoimprinting with flexible stamps is a fabrication method to pattern large-area substrates with micro- and nanotextures. The imprint consists of the preferred texture on top of a residual layer, of which the thickness and uniformity is critical for many applications. In this work, a numerical model is developed to predict the residual layer thickness (RLT) as a function of the imprint parameters. The model is based on elastohydrodynamic lubrication (EHL) theory, which combines lubrication theory for the pressure build-up in the resin film, with linear elasticity theory for the elastic deformation of the roller material. The model is extended with inextensible cylindrical shell theory to capture the effect of the flexible stamp, which is treated as a tensioned web. The results show that an increase in the tension of the web increases the effective stiffness of the roller, resulting in a reduction in the RLT. The numerical results are validated with layer height measurements from flat layer imprints. It is shown that the simulated minimum layer height corresponds very well with the experimental results for a wide range of resin viscosities, imprint velocities, and imprint loads.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    在过去的十年里,已经开发出一种新技术,用于使用激光诱导的冲击波对薄金属箔进行纳米压印。最近的研究已经提出用廉价的聚合物模具代替金属或硅酮模具用于纳米压印。此外,爆炸产生的冲击波比霉菌提供更深的印记,大大简化了该技术的大规模生产应用。在这项研究中,我们专注于爆炸产生的冲击波,持续时间比激光诱导的冲击波长。进行了数值分析和一组简化的成型实验,以确定深压印的原因。我们的数值分析准确地模拟了工件和模具的压力历史和变形行为。而高压会立即使聚合物模具变形,持续的压力逐渐增加工件的成型深度。因此,压力的持续时间可以是控制冲击压印现象的条件之一。
    In the last decade, a new technique has been developed for the nanoimprinting of thin-metal foils using laser-induced shock waves. Recent studies have proposed replacing metal or silicone molds with inexpensive polymer molds for nanoimprinting. In addition, explosive-derived shock waves provide deeper imprinting than molds, greatly simplifying the application of this technology for mass production. In this study, we focused on explosive-derived shock waves, which persist longer than laser-induced shock waves. A numerical analysis and a set of simplified molding experiments were conducted to identify the cause of the deep imprint. Our numerical analysis has accurately simulated the pressure history and deformation behavior of the workpiece and the mold. Whereas a high pressure immediately deforms the polymer mold, a sustained pressure gradually increases the molding depth of the workpiece. Therefore, the duration of the pressure can be one of the conditions to control the impact imprint phenomenon.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

公众号