electron beam lithography

电子束光刻
  • 文章类型: Journal Article
    能够实现高吞吐量和高分辨率图案化的抗蚀剂在推动半导体技术向前发展中是必不可少的。由于分辨率之间的权衡,光刻中抗蚀剂的最终图案化性能受到限制。线宽粗糙度,和灵敏度;改善这些参数中的一个或两个通常会导致第三个参数的损失。当图案化特征尺寸接近埃尺度时,这三个指标之间的权衡变得越来越难以解决,并要求对抗蚀剂化学进行根本性的重新思考。高原子经济性的低分子质量单分散含金属抗蚀剂不仅可以提供非常高的分辨率,而且可以提供非常低的线宽粗糙度而不牺牲灵敏度。在这里,我们描述了一种模块化的含金属抗蚀剂平台(分子量<500Da),其中分子抗蚀剂仅由两种成分组成:金属和与之结合的自由基引发剂。这个简单的系统不仅适合高分辨率电子束光刻(EBL)和极紫外光刻(EUVL),而且在机械上将它们结合在一起,给出了暴露过程中发生的分子和化学过程的综合观点。抗蚀剂的辐照导致二次电子的产生,该二次电子在与金属键合的引发剂中产生自由基。这引起分子内重排并引起暴露的抗蚀剂中的溶解度转换。我们展示了使用EBL在大面积上记录的1.9-2.0nm隔离图案和7nm半间距密集线空间特征。有了EUVL,在68mJ/cm2的剂量下示出了12nm半间距线-空间特征。在这两种图案化技术中,发现线宽粗糙度≤2nm,任何抵抗平台的创纪录的低值,也导致了低性能的权衡指标,Z因子,0.6×10-8mJ·nm3。由于最终分辨率受到工具因素的限制,可以设想在晶胞水平上的潜在图案,使低分子质量抗蚀剂最适合埃尺度光刻。
    Resists that enable high-throughput and high-resolution patterning are essential in driving the semiconductor technology forward. The ultimate patterning performance of a resist in lithography is limited because of the trade-off between resolution, line-width roughness, and sensitivity; improving one or two of these parameters typically leads to a loss in the third. As the patterned feature sizes approach angstrom scale, the trade-off between these three metrics becomes increasingly hard to resolve and calls for a fundamental rethinking of the resist chemistry. Low-molecular-mass monodispersed metal-containing resists of high atom economy can provide not only very high resolution but also very low line-width roughness without sacrificing sensitivity. Here we describe a modular metal-containing resist platform (molecular mass <500 Da) where a molecular resist consists of just two components: a metal and a radical initiator bonded to it. This simple system not only is amenable to high-resolution electron beam lithography (EBL) and extreme ultraviolet lithography (EUVL) but also unites them mechanistically, giving a consolidated perspective of molecular and chemical processes happening during exposure. Irradiation of the resist leads to the production of secondary electrons that generate radicals in the initiator bonded to metal. This brings about an intramolecular rearrangement and causes solubility switch in the exposed resist. We demonstrate record 1.9-2.0 nm isolated patterns and 7 nm half-pitch dense line-space features over a large area using EBL. With EUVL, 12 nm half-pitch line-space features are shown at a dose of 68 mJ/cm2. In both of these patterning techniques, the line-width roughness was found to be ≤2 nm, a record low value for any resist platform, also leading to a low-performance trade-off metric, Z factor, of 0.6 × 10-8 mJ·nm3. With the ultimate resolution limited by instrumental factors, potential patterning at the level of a unit cell can be envisaged, making low-molecular-mass resists best poised for angstrom-scale lithography.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

       PDF(Pubmed)

  • 文章类型: Journal Article
    垂直生长的纳米线由于其高的表面体积比和良好的光捕获能力而在光电子和光伏应用中具有研究兴趣。这项研究介绍了工艺和设计参数对自催化GaAsSbN纳米线(NWs)的影响,该纳米线是通过电子束光刻在图案化的硅衬底上通过等离子体辅助分子束外延生长的。通过扫描电子显微镜检查的图案化NW的垂直排列显示图案化纳米线生长对纳米线直径参数的敏感性,螺距,剂量时间,刻蚀技巧和成长计划。直径范围从90nm到250nm。200nm的间距长度,400nm,600nm,800nm,1000nm,和1200nm进行检查。使用反应离子蚀刻分别对硅基板和PMMA涂层的氧化物层进行干蚀刻20s和120s。给出了在PMMA去除之前和之后执行的不同HF蚀刻持续时间的比较。此外,报告了与非氮化物GaAsSb相比,在稀氮化物GaAsSbN纳米线中观察到的表面活性剂效应。优化图案,反应离子蚀刻,和HF蚀刻,以获得更高的垂直产量的图案化GaAsSbN纳米线,达到预期NW/µm2的80%。室温和4K光致发光结果表明,氮化物掺入对进一步带隙调谐的影响,以及纳米线的光学特性上的图案化间距,这可以洞悉在每个间距长度处生长的纳米线的组成均匀性。
    Vertically grown nanowires (NWs) are a research interest in optoelectronics and photovoltaic applications due to their high surface to volume ratio and good light trapping capabilities. This study presents the effects of process and design parameters on self-catalyzed GaAsSbN NWs grown by plasma-assisted molecular beam epitaxy on patterned silicon substrates using electron beam lithography. Vertical alignment of the patterned NWs examined via scanning electron microscopy show the sensitivity of patterned NW growth to the parameters of NW diameter, pitch, dose time, etching techniques and growth plan. Diameters range from 90 nm to 250 nm. Pitch lengths of 200 nm, 400 nm, 600 nm, 800 nm, 1000 nm, and 1200 nm were examined. Dry etching of the oxide layer of the silicon substrate and PMMA coating is performed using reactive ion etching (RIE) for 20 s and 120 s respectively. Comparisons of different HF etch durations performed pre and post PMMA removal are presented. Additionally, the report of an observed surfactant effect in dilute nitride GaAsSbN NWs in comparison to non-nitride GaAsSb is presented. Optimizations to patterning, RIE, and HF etching are presented to obtain higher vertical yield of patterned GaAsSbN NWs, achieving ∼80% of the expected NWµm2. Room temperature and 4 K photoluminescence results show the effect of nitride incorporation for further bandgap tuning, and patterned pitch on the optical characteristics of the NWs which gives insights to the compositional homogeneity for NWs grown at each pitch length.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    电子束光刻(EBL)是一种功能强大的直接写入工具,可提供纳米级的图案化能力,在与蚀刻或剥离等图案转移方法结合使用时,在低体积研发原型制作中特别有用。在模式转移方法中,升空是首选,特别是在研究环境中,因为它具有成本效益和安全,不需要定制的湿/干蚀刻化学,通风柜,和/或复杂的干法刻蚀工具;总而言之,提供方便,“无底切”模式转换使其有用,特别是对于金属层和具有未知蚀刻剂相容性或低蚀刻选择性的独特合金。尽管剥离技术和光学/电子束光刻技术广泛用于微米甚至亚微米尺度,文献中关于具有临界尺寸(CD)的金属结构在10-20nm范围内具有基于剥离的EBL图案的纳米加工的现有报道是分散的,不完整,或者在实验条件方面有很大的不同,这需要系统的流程优化。为了解决这个问题,超出了典型光刻胶数据表中的范围,本文报告了一项全面的研究,以校准亚50nm金属纳米结构的EBL图案化,包括金纳米线和纳米间隙,基于使用双层PMMA作为抗蚀剂堆叠的剥离工艺。EBL中的控制参数,包括暴露剂量,软烘烤温度,发展时间,开发者解决方案,衬底类型,通过200多次EBL运行,对邻近效应(PE)进行了实验研究,通过场发射扫描电子显微镜(FE-SEM)对制造的纳米结构进行成像,以达到11nm的特征尺寸,从而确定最佳工艺条件。 .
    Electron beam lithography (EBL) stands out as a powerful direct-write tool offering nanometer-scale patterning capability and is especially useful in low-volume R&D prototyping when coupled with pattern transfer approaches like etching or lift-off. Among pattern transfer approaches, lift-off is preferred particularly in research settings, as it is cost-effective and safe and does not require tailored wet/dry etch chemistries, fume hoods, and/or complex dry etch tools; all-in-all offering convenient, \'undercut-free\' pattern transfer rendering it useful, especially for metallic layers and unique alloys with unknown etchant compatibility or low etch selectivity. Despite the widespread use of the lift-off technique and optical/EBL for micron to even sub-micron scales, existing reports in the literature on nanofabrication of metallic structures with critical dimension in the 10-20 nm regime with lift-off-based EBL patterning are either scattered, incomplete, or vary significantly in terms of experimental conditions, which calls for systematic process optimization. To address this issue, beyond what can be found in a typical photoresist datasheet, this paper reports a comprehensive study to calibrate EBL patterning of sub-50 nm metallic nanostructures including gold nanowires and nanogaps based on a lift-off process using bilayer polymethyl-methacrylate as the resist stack. The governing parameters in EBL, including exposure dose, soft-bake temperature, development time, developer solution, substrate type, and proximity effect are experimentally studied through more than 200 EBL runs, and optimal process conditions are determined by field emission scanning electron microscope imaging of the fabricated nanostructures reaching as small as 11 nm feature size.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    热整流器对于优化固态设备的散热以提高能源效率至关重要,可靠性,和整体性能。在这项研究中,我们通过实验研究了悬浮不对称石墨烯带的热整流现象。石墨烯内的不对称性通过在石墨烯带的一侧上并入周期性平行纳米带而同时保持另一侧处于原始形式来引入。我们的发现揭示了在这些不对称石墨烯器件中显著的热整流效应,在室温下达到45%,在较低的环境温度下进一步增加。这种效应归因于不对称结构内原始石墨烯和纳米带石墨烯之间的显著热导率对比。我们观察到纳米带的掺入导致热导率的显着降低,主要是由于声子散射和纳米带边缘附近的瓶颈效应。这些发现表明,石墨烯结构表现出不对称性,由平行纳米带促进,有望在纳米级水平上进行有效的热管理,并开发实用的声子设备。
    Thermal rectifiers are essential in optimizing heat dissipation in solid-state devices to enhance energy efficiency, reliability, and overall performance. In this study, we experimentally investigate the thermal rectification phenomenon in suspended asymmetric graphene ribbons (GRs). The asymmetry within the graphene is introduced by incorporating periodic parallel nanoribbons on one side of the GR while maintaining the other side in a pristine form. Our findings reveal a substantial thermal rectification effect in these asymmetric graphene devices, reaching up to 45% at room temperature and increasing further at lower environmental temperatures. This effect is attributed to a significant thermal conductivity contrast between pristine graphene and nanoribbon graphene within the asymmetric structure. We observe that the incorporation of nanoribbons leads to a notable reduction in thermal conductivity, primarily due to phonon scattering and bottleneck effects near the nanoribbon edges. These findings suggest that graphene structures exhibiting asymmetry, facilitated by parallel nanoribbons, hold promise for effective heat management at the nanoscale level and the development of practical phononic devices.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    卤化物钙钛矿(HP)超表面最近引起了人们的极大兴趣,因为它们不仅具有进一步提高器件性能的潜力,而且还揭示了HP前所未有的功能和新颖的光物理性质。然而,在HP上的纳米图案化是非常具有挑战性的,因为它们在标准光刻工艺中容易被有机溶剂破坏。这里,我们提出了一部小说,微妙的,以及基于低温电子束写入的完全无损的HP超表面制造策略。该技术允许具有优异兼容性的HP的高精度图案化和原位成像。作为一个概念证明,通过在CH3NH3PbI3薄膜上图案化纳米柱阵列来实现宽带吸收增强的超表面,这导致光电探测器的响应性和出色的稳定性提高了约14倍。我们的发现强调了低温电子束写入用于生产钙钛矿超表面和解锁HP前所未有的光电特性的巨大可行性。
    Halide perovskites (HPs) metasurfaces have recently attracted significant interest due to their potential to not only further enhance device performance but also reveal the unprecedented functionalities and novel photophysical properties of HPs. However, nanopatterning on HPs is critically challenging as they are readily destructed by the organic solvents in the standard lithographic processes. Here, we present a novel, subtle, and fully nondestructive HPs metasurface fabrication strategy based on cryogenic electron-beam writing. This technique allows for high-precision patterning and in situ imaging of HPs with excellent compatibility. As a proof-of-concept, broadband absorption enhanced metasurfaces were realized by patterning nanopillar arrays on CH3NH3PbI3 film, which results in photodetectors with approximately 14-times improvement on responsivity and excellent stability. Our findings highlight the great feasibility of cryogenic electron-beam writing for producing perovskite metasurface and unlocking the unprecedented photoelectronic properties of HPs.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    电子束光刻是用于产生纳米结构和制造具有接近几纳米尺寸的精细特征的纳米器件的强大工具。然而,常规旋涂和显影工艺的替代方法需要优化不规则表面上的光刻程序。在这次审查中,我们总结了使用电子束光刻技术在不规则衬底上进行纳米加工的最新技术。为了克服这些挑战,已经开发了非常规方法。例如,聚合物和非聚合物材料可被喷涂或蒸发以在不规则基底上形成电子敏感材料的均匀层。此外,可以应用化学键来帮助在这些表面上形成聚合物刷或自组装单层。此外,热氧化物可以用作抗蚀剂,随着电子束曝光后溶液中蚀刻速率的变化。此外,电子束光刻工具可以与低温台结合使用,蒸发系统,和金属沉积室,用于样品显影和剥离,同时保持低温。可以通过利用冰作为正电阻器在AFM尖端上制造金属纳米锥体。此外,Ti/Au帽可以围绕碳纳米管图案化。此外,通过用聚焦电子束将苯甲醚层暴露在有机冰表面上,可以在不规则表面上形成3D纳米结构。不规则衬底上电子束光刻的这些进展,包括均匀的薄膜涂层,仪表改进,和新模式转移方法的开发,大大扩展了其在纳米级结构的制造和应用中的能力。
    E-beam lithography is a powerful tool for generating nanostructures and fabricating nanodevices with fine features approaching a few nanometers in size. However, alternative approaches to conventional spin coating and development processes are required to optimize the lithography procedure on irregular surfaces. In this review, we summarize the state of the art in nanofabrication on irregular substrates using e-beam lithography. To overcome these challenges, unconventional methods have been developed. For instance, polymeric and nonpolymeric materials can be sprayed or evaporated to form uniform layers of electron-sensitive materials on irregular substrates. Moreover, chemical bonds can be applied to help form polymer brushes or self-assembled monolayers on these surfaces. In addition, thermal oxides can serve as resists, as the etching rate in solution changes after e-beam exposure. Furthermore, e-beam lithography tools can be combined with cryostages, evaporation systems, and metal deposition chambers for sample development and lift-off while maintaining low temperatures. Metallic nanopyramids can be fabricated on an AFM tip by utilizing ice as a positive resistor. Additionally, Ti/Au caps can be patterned around a carbon nanotube. Moreover, 3D nanostructures can be formed on irregular surfaces by exposing layers of anisole on organic ice surfaces with a focused e-beam. These advances in e-beam lithography on irregular substrates, including uniform film coating, instrumentation improvement, and new pattern transferring method development, substantially extend its capabilities in the fabrication and application of nanoscale structures.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

       PDF(Pubmed)

  • 文章类型: Journal Article
    确定具有高分辨率图案化的光刻工艺条件在加速芯片制造中起着至关重要的作用。然而,光刻成像是一个极其复杂的非线性系统,和获得合适的工艺条件需要广泛的实验尝试。这严重地产生了优化和控制光刻工艺条件的瓶颈。在这里,我们通过将电子束光刻(EBL)实验与机器学习相结合,报告了金属氧化物纳米粒子光刻胶接触层的工艺优化解决方案。在这个解决方案中,利用长短期记忆(LSTM)网络和支持向量机(SVM)模型建立接触孔成像和工艺条件分类模型,分别。通过将SVM与LSTM网络相结合,可以筛选同时满足接触孔宽度和局部临界尺寸均匀性公差要求的工艺条件。验证结果表明,LSTM网络预测的水平和垂直接触宽度与EBL实验结果高度一致,分类模型显示出良好的准确性,为接触层的工艺优化提供参考。
    Determining the lithographic process conditions with high-resolution patterning plays a crucial role in accelerating chip manufacturing. However, lithography imaging is an extremely complex nonlinear system, and obtaining suitable process conditions requires extensive experimental attempts. This severely creates a bottleneck in optimizing and controlling the lithographic process conditions. Herein, we report a process optimization solution for a contact layer of metal oxide nanoparticle photoresists by combining electron beam lithography (EBL) experiments with machine learning. In this solution, a long short-term memory (LSTM) network and a support vector machine (SVM) model are used to establish the contact hole imaging and process condition classification models, respectively. By combining SVM with the LSTM network, the process conditions that simultaneously satisfy the requirements of the contact hole width and local critical dimension uniformity tolerance can be screened. The verification results demonstrate that the horizontal and vertical contact widths predicted by the LSTM network are highly consistent with the EBL experimental results, and the classification model shows good accuracy, providing a reference for process optimization of a contact layer.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    纳米谐振器是功能强大且多功能的工具,有望通过在物理,化学,生物传感,信号和信息处理,量子计算,等。,通过他们的高频共振振动和丰富的动态行为。在应用阶段具有调谐谐振频率和动态行为的能力,保证了它们的有效性的进一步改进,并且实现了新颖的应用。然而,在常规(单片制造)纳米谐振器中实现显著的室温可调性被认为是具有挑战性的。在这里,我们展示了在室温下在单片制造的超薄Si纳米谐振器(宽度约40nm,长度为200μm)设备。通过消除立方非线性系数并随后翻转其符号,还证明了非线性行为的极端静电调谐。因此,这些结果有望为微型谐振器提供卓越的操作灵活性和新功能,这将有利于许多技术领域。
    Nanoresonators are powerful and versatile tools promising to revolutionize a wide range of technological areas by delivering unparalleled performance in physical, chemical, biological sensing, signal and information processing, quantum computation, etc., via their high-frequency resonant vibration and rich dynamic behavior. Having the ability to tune the resonance frequency and dynamic behavior at the application stage promises further improvement in their effectiveness and enables novel applications. However, achieving significant room-temperature tunability in conventional (monolithically fabricated) nanoresonators is considered challenging. Here we demonstrate ultrawide electrostatic tuning (∼70%) of (initial) resonance-frequency (∼7% V-1) at room temperature in a monolithically fabricated ultrathin Si nanoresonator (width ∼ 40 nm, length ∼ 200 μm) device. Extreme electrostatic tuning of nonlinear behavior is also demonstrated by canceling the cubic-nonlinear coefficient and subsequently flipping its sign. Thus, these results are expected to provide remarkable operational flexibility and new capabilities to microfabricated resonators, which will benefit many technological areas.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    金属氧化物半导体(MOS)气体传感器广泛用于气体检测。通常,热板元件是MOS气体传感器中的关键部件,可提供适当的可调工作温度。然而,标准热板的低功率效率极大地限制了MOS气体传感器的便携式应用。热板几何形状的小型化是用于降低其功耗的最有效方法之一。在这项工作中,提出了一种新的方法,结合电子束光刻(EBL)和聚焦离子束(FIB)技术,以获得低功耗。EBL用于定义电极的低分辨率部分,和FIB技术用于图案化高分辨率部分。在不同的铣削策略中测试了FIB中不同的Au++离子注量。通过扫描电子显微镜(SEM)对所得器件进行了表征,原子力显微镜(AFM),和二次离子质谱(SIMS)。此外,电热板的电阻是在不同的电压下测量的,根据Pt的电阻值温度系数计算工作温度。此外,研究了不同温度下110h的热加热器和电稳定性。最后,使用乙醇在250°C下研究了在ZnO气体传感器中制造的热板的实现。
    Metal oxide semiconductor (MOS) gas sensors are widely used for gas detection. Typically, the hotplate element is the key component in MOS gas sensors which provide a proper and tunable operation temperature. However, the low power efficiency of the standard hotplates greatly limits the portable application of MOS gas sensors. The miniaturization of the hotplate geometry is one of the most effective methods used to reduce its power consumption. In this work, a new method is presented, combining electron beam lithography (EBL) and focused ion beam (FIB) technologies to obtain low power consumption. EBL is used to define the low-resolution section of the electrode, and FIB technology is utilized to pattern the high-resolution part. Different Au++ ion fluences in FIBs are tested in different milling strategies. The resulting devices are characterized by scanning electron microscopy (SEM), atomic force microscopy (AFM), and secondary ion mass spectrometry (SIMS). Furthermore, the electrical resistance of the hotplate is measured at different voltages, and the operational temperature is calculated based on the Pt temperature coefficient of resistance value. In addition, the thermal heater and electrical stability is studied at different temperatures for 110 h. Finally, the implementation of the fabricated hotplate in ZnO gas sensors is investigated using ethanol at 250 °C.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

       PDF(Pubmed)

  • 文章类型: Journal Article
    人类活动产生的有害物质威胁着人类健康和绿色环境。气体传感器,尤其是那些基于金属氧化物的,广泛用于监测有毒气体的低成本和高效的性能。在这项研究中,使用两步曝光的电子束光刻将气体传感器热板的几何形状最小化为亚微米尺寸,以降低功耗,以0.09W达到100°C。通过在650°C下N2煅烧引入氧空位的富集,优化了ZnO纳米膜对NO2的传感能力。使用EDX和XPS证明了氧空位的存在。发现氧空位没有显着改变ZnO的晶体结构,但是它们显着改善了ZnO薄膜对5ppm干燥空气的电导率和传感行为。
    Hazardous substances produced by anthropic activities threaten human health and the green environment. Gas sensors, especially those based on metal oxides, are widely used to monitor toxic gases with low cost and efficient performance. In this study, electron beam lithography with two-step exposure was used to minimize the geometries of the gas sensor hotplate to a submicron size in order to reduce the power consumption, reaching 100 °C with 0.09 W. The sensing capabilities of the ZnO nanofilm against NO2 were optimized by introducing an enrichment of oxygen vacancies through N2 calcination at 650 °C. The presence of oxygen vacancies was proven using EDX and XPS. It was found that oxygen vacancies did not significantly change the crystallographic structure of ZnO, but they significantly improved the electrical conductivity and sensing behaviors of ZnO film toward 5 ppm of dry air.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

       PDF(Pubmed)

公众号