e-beam lithography

  • 文章类型: Journal Article
    极紫外(EUV)光刻,这使得能够高通量生产具有几纳米尺度的关键尺寸的定义明确的图案,对于制造高度集成的半导体至关重要。EUV光刻技术的充分开发需要开发具有高EUV灵敏度和长保质期的光致抗蚀剂(PR)材料。然而,尽管取得了显著进展,可用的EUVPR材料库仍然有限。在这里,我们报告了EUVPR,该EUVPR能够形成由梯形结构的四核黄烷组成的预组织层。单晶X射线结构分析显示,通过伪轴丁基链的相互交叉,层间距离为8.5µ。开发的EUVPR材料在半导体加工中常用的有机溶剂中具有高溶解度,能够制备在Si晶片衬底上具有优异润湿性和均匀成膜能力的PR溶液。这些PR溶液在长达1个月的时间内也表现出显著的抗水解分解能力,说明保质期长。我们的PR材料实现了负色调图案化过程,该过程涉及辐照后溶解度降低。发色配体的存在使我们的PR材料与传统的UV光刻兼容,通过涉及羰基单元的光化学反应。此外,电子束和EUV光刻可以产生我们PR的精细线条图案,临界尺寸为20和15纳米,分别。我们的研究展示了层有序有机氧锡簇在EUVPR应用中的潜力。
    Extreme-ultraviolet (EUV) photolithography, which enables the high-throughput production of well-defined patterns with critical dimensions on the scale of several nanometers, is essential for the fabrication of a highly integrated semiconductor. The full exploitation of EUV lithographic techniques necessitates the development of photoresist (PR) materials with both high EUV sensitivity and a long shelf-life. However, despite notable advances, the available library of EUV PR materials remains limited. Here we report EUV PRs capable of forming preorganized layers consisting of ladder-structured tetranuclear stannoxanes. Single-crystal X-ray structure analyses reveal a close interlayer distance of 8.5 Å through interdigitation of the pseudoaxial butyl chains. The developed EUV PR materials exhibit high solubility in organic solvents commonly used in semiconductor processing, enabling the preparation of PR solutions with superior wettability and uniform film-forming ability on Si wafer substrates. These PR solutions also demonstrate notable resistance to hydrolytic decomposition for as long as 1 month, indicating a long shelf-life. Our PR materials enabled negative-tone patterning processes that involved a solubility decrease upon irradiation. The presence of chromophoric ligands makes our PR materials compatible with conventional UV photolithography, through photochemical reactions involving carbonyl units. In addition, e-beam and EUV lithography could produce fine line patterns of our PRs, with critical dimensions of 20 and 15 nm, respectively. Our research showcases the potential of layer-ordered organooxotin clusters for EUV PR applications.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    为了打破传统抗蚀剂的分辨率限制,在非化学放大抗蚀剂(非CAR)上需要更多的工作。制备了基于碘鎓盐改性聚苯乙烯(PS-I)的非CAR,具有可控的分子量和结构。抗蚀剂的性能可以通过在聚合物链上加载碘盐来调节,碘盐比例较高的材料显示出更好的光刻性能。通过对比平版印刷图案的对比度曲线和质量,选择了4-甲基-2-戊酮和乙醇(v:v=1:7)的最佳显影条件。15nm半间距(HP)的高分辨率条纹可以通过电子束光刻(EBL)中的PS-I0.58实现。PS-I0.58示出了在具有低线边缘粗糙度(LER)(3.0nm和2.4nm)的16nmHP和18nmHP条纹的图案中的先进的光刻性能。该抗蚀剂显示出进一步的图案转移的优异潜力,抗蚀剂PS-I0.58对硅的蚀刻选择性接近12:1。通过实验和理论计算研究了PS-I的光刻机理,这表明材料的极性变化导致溶解度转换。这项工作为高分辨率抗蚀剂的开发提供了新的选择和有用的指南。
    To break the resolution limitation of traditional resists, more work is needed on non-chemically amplified resists (non-CARs). Non-CARs based on iodonium salt modified polystyrene (PS-I) were prepared with controllable molecular weight and structure. The properties of the resist can be adjusted by the uploading of iodonium salts on the polymer chain, the materials with a higher proportion of iodonium salts show better lithography performance. By comparing contrast curves and quality of the lithographic patterns, the optimum developing condition of 4-methyl-2-pentanone and ethyl alcohol (v:v = 1:7) was selected. The high-resolution stripes of 15 nm half-pitch (HP) can be achieved by PS-I0.58in e-beam lithography (EBL). PS-I0.58shows the advanced lithography performance in the patterns of 16 nm HP and 18 nm HP stripes with low line edge roughness (3.0 nm and 2.4 nm). The resist shows excellent potential for further pattern transfer, the etch selectivity of resist PS-I0.58to the silicon was close to 12:1. The lithographic mechanism of PS-I was investigated by experimental and theoretical calculation, which indicates the polarity of materials changes results in the solubility switch. This work provides a new option and useful guidelines for the development of high-resolution resist.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    在寻求有机激光二极管的背景下,我们介绍了集成在纳秒短电脉冲就绪有机发光二极管(OLED)中的混合阶分布式反馈微腔的多尺度制造工艺优化。我们结合了超短脉冲电激励和激光微腔。这需要高度分辨的DFB微腔与OLED堆叠和微波电极的集成。在第二个挑战中,我们将腔共振精确地调谐到有机激光增益介质的电致发光峰值。这需要使用电子束光刻执行精确的微腔制造,以在纳米尺度的精度图案化光栅。最佳DFB微腔是用300nm厚的氢倍半硅氧烷负型电子束抗蚀剂在50nm薄的氧化铟锡阳极上获得的,每单位面积的电荷量(即,剂量)为620µC/cm2,在稀释于水中的四甲基氢氧化铵中在40分钟内形成。我们表明,DFB微腔的集成不会阻碍器件的脉冲电可操作性,其表现出高达14kA/cm2的峰值电流密度。
    In the context of the quest for the Organic Laser Diode, we present the multiscale fabrication process optimization of mixed-order distributed-feedback micro-cavities integrated in nanosecond-short electrical pulse-ready organic light-emitting diodes (OLEDs). We combine ultra-short pulsed electrical excitation and laser micro-cavities. This requires the integration of a highly resolved DFB micro-cavity with an OLED stack and with microwave electrodes. In a second challenge, we tune the cavity resonance precisely to the electroluminescence peak of the organic laser gain medium. This requires precise micro-cavity fabrication performed using e-beam lithography to pattern gratings with a precision in the nanometer scale. Optimal DFB micro-cavities are obtained with 300 nm thick hydrogen silsesquioxane negative-tone e-beam resist on 50 nm thin indium tin oxide anode exposed with a charge quantity per area (i.e., dose) of 620 µC/cm2, developed over 40 min in tetramethylammonium hydroxide diluted in water. We show that the integration of the DFB micro-cavity does not hinder the pulsed electrical operability of the device, which exhibits a peak current density as high as 14 kA/cm2.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

       PDF(Pubmed)

  • 文章类型: Journal Article
    使用超薄电子透明膜的像差校正电子束光刻(AC-EBL)在两种广泛使用的电子束抗蚀剂中实现了个位数的纳米分辨率:聚(甲基丙烯酸甲酯)(PMMA)和氢倍半硅氧烷。另一方面,厚的AC-EBL实现,电子不透明的基板是吸引传统的自上而下的制造量子器件与纳米尺度的特征。为了研究AC-EBL在厚衬底上的性能,我们通过定义PMMA薄膜中的正负图案来测量200keV像差校正扫描透射电子显微镜的光刻点扩散函数,在厚SiO2/Si衬底上旋涂。我们介绍了在预曝光光束聚焦过程中遇到的问题,并讨论了克服这些问题的方法。此外,使用具有厚Si支撑框架的商业50nm厚的SiNX膜应用这些方法中的一些,随着Si厚度的增加,我们在SiNx/Si衬底上印刷了间距约为26nm的PMMA孔阵列。我们的结果表明,即使是50nm厚的SiNX膜的邻近效应也将孔阵列限制在20nm间距;然而,到这个极限,基板厚度对图案质量的影响最小。这些结果强调了对不易受邻近效应影响的新型抗蚀剂的需求,或者可以直接使用的抗蚀剂,发展后,作为二维量子器件中周期性栅极的介电材料。
    Aberration-corrected electron-beam lithography (AC-EBL) using ultra-thin electron transparent membranes has achieved single-digit nanometer resolution in two widely used electron-beam resists: poly (methyl methacrylate) (PMMA) and hydrogen silsesquioxane. On the other hand, AC-EBL implementation on thick, electron-opaque substrates is appealing for conventional top-down fabrication of quantum devices with nanometer-scale features. To investigate the performance of AC-EBL on thick substrates, we measured the lithographic point spread function of a 200 keV aberration-corrected scanning transmission electron microscope by defining both positive and negative patterns in PMMA thin films, spin-cast on thick SiO2/Si substrates. We present the problems encountered during pre-exposure beam focusing and discuss methods to overcome them. In addition, applying some of these methods using commercial 50 nm thick SiNXmembranes with thick Si support frames, we printed arrays of holes in PMMA with pitches around 26 nm on SiNX/Si substrates with increasing Si thickness. Our results show that proximity effects from even 50 nm thick SiNXmembranes limit hole arrays to 20 nm pitch; however, down to this limit, the effect of the substrate thickness on the pattern quality is minimal. These results highlight the need for novel resists less susceptible to proximity effects, or resists which can be used directly, after development, as the dielectric material in periodic gates in 2D quantum devices.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    扭曲莫尔光子晶体是扭曲石墨烯或扭曲过渡金属二硫属化物双层的光学类似物。在本文中,我们报告了扭曲莫尔光子晶体和随机莫尔光子晶体的制造及其在LED中增强光提取中的用途。随机莫尔光子晶体的分数衍射级比莫尔光子晶体的分数衍射级更均匀。提取效率为76.5%,在用扭曲莫尔光子晶体图案化的LED模拟中,预计77.8%和79.5%进入玻璃衬底,含缺陷光子晶体和随机莫尔光子晶体,分别,在584nm。具有n=3的2D钙钛矿(BA)2(MA)n-1PbnI3n1和(5-(2'-吡啶基)-四唑)(3-CF3-5-(2'-吡啶基)吡唑)铂(II)(PtD)的光学泵浦LED的萃取效率已测量。 .
    Twisted moiré photonic crystal is an optical analog of twisted graphene or twisted transition metal dichalcogenide bilayers. In this paper, we report the fabrication of twisted moiré photonic crystals and randomized moiré photonic crystals and their use in enhanced extraction of light in light-emitting diodes (LEDs). Fractional diffraction orders from randomized moiré photonic crystals are more uniform than those from moiré photonic crystals. Extraction efficiencies of 76.5%, 77.8% and 79.5% into glass substrate are predicted in simulations of LED patterned with twisted moiré photonic crystals, defect-containing photonic crystals and random moiré photonic crystals, respectively, at 584 nm. Extraction efficiencies of optically pumped LEDs with 2D perovskite (BA)2(MA)n-1PbnI3n+1ofn= 3 and (5-(2\'-pyridyl)-tetrazolato)(3-CF3-5-(2\'-pyridyl)pyrazolato) platinum(II) (PtD) have been measured.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    超薄混合钙钛矿,具有奇特的属性和二维几何形状,在纳米光学和光电器件中表现出巨大的潜力。然而,对于它们来说,与高分辨率图案化技术兼容以实现小型化和集成应用仍然具有挑战性,因为它们很容易被标准光刻工艺中使用的有机溶剂损坏。这里,开发了一种灵活的三步方法来在混合钙钛矿上制作高分辨率的多色图案,特别是在单个纳米片上实现的。该方法包括首先合成前体PbI2,然后电子束光刻和最终转换为目标钙钛矿。可以实现线宽约150nm的图案,可应用于微型光电器件和高分辨率显示器。作为一个例子,钙钛矿光电探测器的通道长度可降至126nm。通过确定性气相阴离子交换,钙钛矿纳米片不仅可以在宽波长范围内逐渐改变相同图案的颜色,同时也显示不同的颜色。作者乐观地认为,该方法可应用于无限钙钛矿类型和设备配置的高集成度微型应用。
    Ultrathin hybrid perovskites, with exotic properties and two-dimensional geometry, exhibit great potential in nanoscale optical and optoelectronic devices. However, it is still challenging for them to be compatible with high-resolution patterning technology toward miniaturization and integration applications, as they can be readily damaged by the organic solvents used in standard lithography processes. Here, a flexible three-step method is developed to make high-resolution multicolor patterning on hybrid perovskite, particularly achieved on a single nanosheet. The process includes first synthesis of precursor PbI2 , then e-beam lithography and final conversion to target perovskite. The patterns with linewidth around 150 nm can be achieved, which can be applied in miniature optoelectronic devices and high-resolution displays. As an example, the channel length of perovskite photodetectors can be down to 126 nm. Through deterministic vapor-phase anion exchange, a perovskite nanosheet can not only gradually alter the color of the same pattern in a wide wavelength range, but also display different colors simultaneously. The authors are optimistic that the method can be applied for unlimited perovskite types and device configurations for their high-integrated miniature applications.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    体外图案化神经元的方法已逐渐得到改善,并用于研究难以在体内或离体解决的问题。尽管这些技术指导神经元群之间的轴突,神经元连通性的多尺度控制,从电路到突触,尚未在体外实现。由于在体内研究具有突触分辨率的神经元回路提出了重大挑战,我们提出了一种体外替代方法来验证生物物理和计算模型.在这项工作中,我们使用电子束光刻和光刻的组合来创建具有150nm至几毫米范围的特征的聚二甲基硅氧烷(PDMS)结构。利用平均轴突和树突状脊柱直径之间的差异,我们限制轴突生长,同时允许棘穿过纳米通道,以引导小组神经元之间的突触形成(即,节点)。我们表明,这种技术可用于生成大量的隔离前馈电路,其中节点之间的连接仅限于通过纳米通道连接的区域。使用基因编码的钙指示剂与荧光标记的突触后蛋白相结合,PSD-95,我们证明了功能性突触可以在该区域形成。
    Methods for patterning neurons in vitro have gradually improved and are used to investigate questions that are difficult to address in or ex vivo. Though these techniques guide axons between groups of neurons, multiscale control of neuronal connectivity, from circuits to synapses, is yet to be achieved in vitro. As studying neuronal circuits with synaptic resolution in vivo poses significant challenges, we present an in vitro alternative to validate biophysical and computational models. In this work we use a combination of electron beam lithography and photolithography to create polydimethylsiloxane (PDMS) structures with features ranging from 150 nm to a few millimeters. Leveraging the difference between average axon and dendritic spine diameters, we restrict axon growth while allowing spines to pass through nanochannels to guide synapse formation between small groups of neurons (i.e., nodes). We show this technique can be used to generate large numbers of isolated feed-forward circuits where connections between nodes are restricted to regions connected by nanochannels. Using a genetically encoded calcium indicator in combination with fluorescently tagged postsynaptic protein, PSD-95, we demonstrate functional synapses can form in this region.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    已经通过电子束光刻在X射线透明的50nm厚的膜上定义了厚度高达300nm的坡莫合金(Py)的图案化元素,以便通过磁透射X射线显微镜(MTXM)表征它们的磁结构。为了避免在光刻过程中膜的脆性导致膜破裂的情况,已经发现,抗蚀剂的旋涂必须分两步施加。MTXM结果表明,我们的样品具有中心畴壁,以及其他类型的域墙,如果纳米结构足够宽。
    Patterned elements of permalloy (Py) with a thickness as large as 300 nm have been defined by electron beam lithography on X-ray-transparent 50 nm thick membranes in order to characterize their magnetic structure via Magnetic Transmission X-ray Microscopy (MTXM). To avoid the situation where the fragility of the membranes causes them to break during the lithography process, it has been found that the spin coating of the resist must be applied in two steps. The MTXM results show that our samples have a central domain wall, as well as other types of domain walls, if the nanostructures are wide enough.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

    求助全文

  • 文章类型: Journal Article
    在特定的生物传感应用中,纳米等离子体传感器芯片已经通过基于铝支架和用于照明和收集透射光的两个塑料光纤的实验装置进行了测试。所研究的等离子体探针基于金纳米光栅,在聚(甲基丙烯酸甲酯)(PMMA)芯片的顶部实现。PMMA基板可视为透明基板,以这样的方式,它已经在以前的工作中使用。或者,这里它被认为是一个平板波导。特别是,我们沉积在平板表面,覆盖着纳米光栅,一种对牛血清白蛋白(BSA)具有特异性的合成受体,测试所提出的生物传感方法。利用这种不同的实验配置,我们已经确定了形成光栅图案的纳米条纹的方向,相对于输入光的方向(纵向或正交),影响生物传感性能。例如,已获得的BSA检测中的最佳检测限(LOD)等于23pM。具体来说,纵向构型的特征是两个可观察到的等离子体现象,每个对不同的BSA浓度范围敏感,范围从pM到µM。这一方面在几个生化传感应用中起着关键作用,一个广泛的工作范围是必需的。
    In a specific biosensing application, a nanoplasmonic sensor chip has been tested by an experimental setup based on an aluminum holder and two plastic optical fibers used to illuminate and collect the transmitted light. The studied plasmonic probe is based on gold nanograting, realized on the top of a Poly(methyl methacrylate) (PMMA) chip. The PMMA substrate could be considered as a transparent substrate and, in such a way, it has been already used in previous work. Alternatively, here it is regarded as a slab waveguide. In particular, we have deposited upon the slab surface, covered with a nanograting, a synthetic receptor specific for bovine serum albumin (BSA), to test the proposed biosensing approach. Exploiting this different experimental configuration, we have determined how the orientation of the nanostripes forming the grating pattern, with respect to the direction of the input light (longitudinal or orthogonal), influences the biosensing performances. For example, the best limit of detection (LOD) in the BSA detection that has been obtained is equal to 23 pM. Specifically, the longitudinal configuration is characterized by two observable plasmonic phenomena, each sensitive to a different BSA concentration range, ranging from pM to µM. This aspect plays a key role in several biochemical sensing applications, where a wide working range is required.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

       PDF(Sci-hub)

       PDF(Pubmed)

  • 文章类型: Journal Article
    石墨烯纳米结构被广泛认为是用于基本成分的有前途的材料;它们的高性能电子特性为石墨烯纳米电子学的构建提供了潜力。许多研究人员已经关注石墨烯纳米结构的制造,基于自上而下和自下而上的方法。然而,仍然存在一些不可避免的挑战,如光滑的边缘,没有褶皱的均匀薄膜,和精确的尺寸和位置控制。在这项工作中,报道了一种直接写入方法,用于原位制备尺寸可控的高分辨率石墨烯纳米结构(最小特征尺寸约为15nm),它结合了电子束光刻和铜催化生长的优点。通过傅里叶红外吸收试验,我们发现,氢和氧元素正在消失,由于爆震位移和辐射分解效应。石墨烯晶体也是通过电子束和铜衬底之间的扩散和局部热效应形成的,基于拉曼光谱测试。这种用于原位合成石墨烯纳米结构的简单过程具有许多潜在的应用前景,包括提供一种制造纳米电极的方法,NEMS悬臂谐振结构,纳米光子器件等。
    Graphene nanostructures are widely perceived as a promising material for fundamental components; their high-performance electronic properties offer the potential for the construction of graphene nanoelectronics. Numerous researchers have paid attention to the fabrication of graphene nanostructures, based on both top-down and bottom-up approaches. However, there are still some unavoidable challenges, such as smooth edges, uniform films without folds, and accurate dimension and location control. In this work, a direct writing method was reported for the in-situ preparation of a high-resolution graphene nanostructure of controllable size (the minimum feature size is about 15 nm), which combines the advantages of e-beam lithography and copper-catalyzed growth. By using the Fourier infrared absorption test, we found that the hydrogen and oxygen elements were disappearing due to knock-on displacement and the radiolysis effect. The graphene crystal is also formed via diffusion and the local heating effect between the e-beam and copper substrate, based on the Raman spectra test. This simple process for the in-situ synthesis of graphene nanostructures has many promising potential applications, including offering a way to make nanoelectrodes, NEMS cantilever resonant structures, nanophotonic devices and so on.
    导出

    更多引用

    收藏

    翻译标题摘要

    我要上传

       PDF(Pubmed)

公众号